XMC4500 Relax Kit - Webcast on Dave 4 Getting Started - Problems with Buttons

Tip / Sign in to post questions, reply, level up, and achieve exciting badges. Know more

cross mob
Not applicable
Hello, yesterday on the SPS IPC Drives in Nürnberg i got an XMC4500 Relax Kit.
So today i started some testing.

My first test was to watch a video tutorial and built the same system.

I decided to start with:
http://3532.2871.m.edge-cdn.net/vsc_2871_3532_1_vid_863478/webcast-on-dave-getting-started-with-vers...

I downloaded Dave4.
I did all the same steps, just one thing has changed,
the PWM_Acknowledge changed to PWM_ClearEvent

When i start my project with the debuger, The LEDs start blinking in 1Hz and 5 Hz, but i can't interact with the buttons.
My thought is, the controller starts the program just one time and after one cycle it stops. The LEDs are still blinking, cause the PWM is set on initialisation.

Someone can help me with that?

Best Regards John
0 Likes
1 Reply
Not applicable
Hi John,

It seems that you did not set up the buttons correctly.
Can you try again.

Attached is a working version of the project on XMC4500 relax kit.

Regards,
Daryl
0 Likes